VHDL


ENTITY hello IS
-- No ports

END hello;

ARCHITECTURE bhv OF hello IS

BEGIN

   ASSERT FALSE
   REPORT "Hello, World"
   SEVERITY NOTE; 

END bhv;
submitted by: rdp@sprynet.com